Publications

2024

  • [MICRO] “Uncovering Real GPU NoC Characteristics: Implications on Interconnect Architecture”,  Zhixian Jin, Christopher Rocca, Jiho Kim, Hans Kasan, Minsoo Rhu, Ali Bakhoda, Tor Aamodt, John Kim, In Proceedings of the 57th International Symposium on Microarchitecture (MICRO), Austin, TX, Nov. 2024 
  • [MICRO] “Ghost Arbitration: Mitigating Interconnect Side-Channel Timing Attacks”,  Zhixian Jin, Jaeguk Ahn, Jiho Kim, Hans Kasan, Jina Song, Wonjun Song, John Kim, In Proceedings of the 57th International Symposium on Microarchitecture (MICRO), Austin, TX, Nov. 2024
  • [SIGMETRICS] “Scalability Limitations of Processing-in-Memory using Real System Evaluations”, Gilbert Jonatan, Haeyoon Cho, Hyojun Son, Xiangyu Wu, Neal Livesay, Evelio Mora, Kaustubh Shivdikar, José L. Abellán, Ajay Joshi, David Kaeli, and John Kim, in the Proceedings of the ACM on Measurement and Analysis of Computing Systems (SIGMETRICS), Venice, Italy, March 2024

2023

  • [ISCA] “Decoupled SSD: Rethinking SSD Architecture through Network-based Flash Controllers”, Jiho Kim, Myoungsoo Jung, John Kim, in the proceedings of International Symposium on Computer Architecture (ISCA), Orlando, FL, USA, June 2023.
  • [HPCA] “VVQ: Virtualizing Virtual Channel for Cost-Efficient Protocol Deadlock Avoidance”, Hans Kasan, John Kim, in the proceedings of International Symposium on High-Performance Computer Architecture (HPCA), Montreal, Canada, Feb. 2023.
  • [HPCA] “Logical/Physical Topology-Aware Collective Communication in Deep Learning Training”, Jo Sanghoon, Hyojun Son, John Kim, in the proceedings of International Symposium on High-Performance Computer Architecture (HPCA), Montreal, Canada, Feb. 2023.

2022

  • [MICRO] “Networked SSD: Flash Memory Interconnection Network for High-Bandwidth SSD”, Jiho Kim, Seokwon Kang, Yongjun Park, John Kim, in the proceedings of International Symposium on Microarchitecture (MICRO), Chicago, IL, October 2022.
  • [MICRO] “ARK: Fully Homomorphic Encryption Accelerator with Runtime Data Generation and Inter-Operation Key Reuse,“, Jongmin Kim, Gwangho Lee, Sangpyo Kim, Gina Sohn, John KimMinsoo Rhu, and Jung Ho Ahnin the proceedings of International Symposium on Microarchitecture (MICRO), Chicago, IL, October 2022.
  • [ISCA] “Dynamic Global Adaptive Routing in High-radix Networks”, Hans Kasan, Gwangsun Kim, Yung Yi, and John Kim, in the proceedings of International Symposium on Computer Architecture (ISCA) , New York, NY, June 2022.
  • [ISCA] “BTS: An Accelerator for Bootstrappable Fully Homomorphic Encryption”, Sangpyo Kim, Jongmin Kim, Michael Jaemin Kim, Wonkyung Jung, Minsoo Rhu, John Kim, and Jung Ho Ahn , in the proceedings of International Symposium on Computer Architecture (ISCA) , New York, NY, June 2022.
  • [ISCA] “A Software-defined Tensor Streaming Multiprocessor for Large-Scale Machine Learning”, Dennis Abts, Garrin Kimmell, Andrew Ling, John Kim (KAIST); Matt Boyd, Andrew Bitar, Sahil Parmar, Ibrahim Ahmed, Roberto DiCecco, David Han, John Thompson, Michael Bye, Jennifer Hwang, Jeremy Fowers, Peter Lillian, Ashwin Murthy, Elyas Mehtabuddin, Chetan Tukur, Thomas Sohmers, Kris Kang, Jonathan Ross , in the proceedings of International Symposium on Computer Architecture (ISCA) , New York, NY, June 2022.

2021

  • [IEEE CAL] “Decoupled SSD: Reducing Data Movement on NAND-Based Flash SSD”, Jiho Kim, Myoungsoo Jung, John Kim, in IEEE Computer Architecture Lectures, Jan. 2021
  • [MICRO] “Network-on-Chip Microarchitecture-based Covert Channel in GPUs,” Jaeguk Ahn, Jiho Kim, Hans Kasan, Leila Delshadtehrani, Wonjun Song, Ajay Joshi, John Kim, in the proceedings of IEEE/ACM International Symposium on Microarchitecture Oct. 2021
  • [ISCA] “Ghost Routing to Enable Oblivious Computation on Memory-centric Networks,” Yeonju Ro, Seongwook Jin, Jaehyuk Huh, John Kim, in the proceedings of International Symposium on Computer Architecture (ISCA) , Virtual June 2021.
  • [ISPASS] “GNNMark: A Benchmark Suite to CharacterizeGraph Neural Network Training on GPUs,” Trinayan Baruah, Kaustubh Shivdikar, Shi Dong, Yifan Sun, Saiful A Mojumder, Kihoon Jung, Jose L Abellan, Yash Ukidave, Ajay Joshi, John Kim, David Kaeli, IEEE International Symposium on Perfor- mance Analysis of Systems and Software (ISPASS), Virtual March 2021.
  • [IEEE CAL] “The Case for Dynamic Bias in Global Adaptive Routing,” Hans Kasan, John Kim,  in IEEE Computer Architecture Lectures, Jan. 2021
  • [HPCA] “Trident: A Hybrid Correlation-Collision GPU Cache Timing Attack for AES Key Recovery,” Jaeguk Ahn, Cheolgyu Jin, Jiho Kim, Minsoo Rhu, Yunsi Fei, David Kaeli, John Kim, in the proceedings of International Symposium on High-Performance Computer Architecture (HPCA), Virtual, Feb. 2021.
  • [HPCA] “BoomGate: Deadlock Avoidance in Non-Minimal Routing for High-Radix Networks,” Kwauk Gyuyoung, Seungkwang Kang, Hans Kasan, Hyojune Son, John Kim, in the proceedings of International Symposium on High-Performance Computer Architecture (HPCA), Virtual, Feb. 2021.

2020

  • [EAI] “Bodeum: Encouraging Working Parents to Provide Emotional Support for Stay-at-Home Parents in Korea” Seokwoo Song, Naomi Yamashita, John Kim, EAI PervasiveHealth 2020 – 14th EAI Internation Conference on Pervasive Computing Technologies for Healthcare, Virtual, Oct. 2020
  • [PACT][POSTER] “Bandwidth Bottleneck in Network-on-Chip for High-Throughput Processors” Jiho Kim, Sanghun Cho, Minsoo Rhu, Ali Bakhoda, Tor Aamodt, John Kim, 29th International Conference on Parallel Architectures and Compilation Techniques(PACT), Virtual, Oct. 2020
  • [PACT] “Valkyrie: Leveraging Inter-TLB locality to Enhance GPU Performance” ,Trinayan Baruah, Yifan Sun, Saiful A. Mojumder, John Kim, 29th International Conference on Parallel Architectures and Compilation Techniques(PACT), Virtual, Oct. 2020
  • [DAC] “Navigator: Dynamic Multi-kernel scheduling to improve GPU performance” Jiho Kim, John Kim, Yongjun Park,  in the proceedings of the 57th Annual Design Automation Conference 2020 (DAC), Virtual – COVID19, July. 2020
  • [ASPLOS] “NeuMMU: Architectural Support for Efficient Address Translations in Neural Processing Units” Bongjoon Hyun, Youngeun Kwon, Yujeong Choi, John Kim, Minsoo Rhu, in the proceedings of the 22nd International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Virtual – COVID19, March. 2020
  • “Deep Learning Training in Facebook Data Centers: Design of Scale-up and Scale-out Systems” Maxim Naumov, John Kim, Dheevatsa Mudigere, Srinivas Sridharan, Xiaodong Wang, Whitney Zhao, Serhat Yilmaz, Changkyu Kim, Hector Yuen, Mustafa Ozdal, Krishnakumar Nair, Isabel Gao, Bor-Yiing Su, Jiyan Yang, Mikhail Smelyanskiy, CA, Mar. 2020 https://arxiv.org/abs/2003.09518
  • [HPCA] “Griffin: Hardware-Software Support for Efficient Page Migration in Multi-GPU Systems” Trinayan Baruah, Yifan Sun, Ali Tolga Dincer, Saiful A. Mojumder, Jose Luis Abellan, Yash Ukidave, Ajay Joshi, norman rubin, John Kim, David Kaeli, in the proceedings of the International Symposium on High Performance Computer Architecture (HPCA), San Diego, CA, Feb. 2020

2019

  • [SC] “Practical and Efficient Incremental Adaptive Routing for HyperX Networks,” Nie McDonald, Mikhail Isaev, Adriana Flores, Al Davis, John Kim,  in the proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis (SC), Denver, CO, Nov. 2019
  • [ICCD] “A case for Software-based Adaptive Routing in NUMA systems”, Wonjun Song, John Kim, in the proceedings of the 37th IEEE international Conference on Computer Design(ICCD), Abu Dhabi, United Arab Emirates, Nov. 2019
  • [NOCS] “Ghost Routers: Energy-efficient Asymmetric Multicore Processors with Symmetric NoCs,” Hyojun Son, Hanjoon Kim, Hao Wang, Nam Sung Kim, John Kim,  in the proceedings of the 13th IEEE/ACM International Symposium on Networks-on-Chip (NOCS), New York, NY, Oct. 2019
  • [PACT] “Enforcing Last-Level Cache Partitioning through Memory Virtual Channels,” Jongwook Chung, Yuhwan Ro, Joonsung Kim, Jaehyung Ahn, Jangwoo Kim, John Kim, Jae W. Lee, Jung Ho Ahn,  in the proceedings of the 28th International Conference on Parallel Architecture and Compilation Techniques (PACT), Seattle, WA, Sept. 2019
  • [ICS] “DeepHiR: Improving High-radix Router Throughput with Deep Hybrid Memory Buffer Microarchitecture,” Cunlu Li, Dezun Dong, Xiangke Liao, John Kim, Changhyun Kim,  in the proceedings of the ACM International Conference on Supercomputing (ICS), Phoenix, AZ, Jun. 2019
  • [DAC] “A Novel Covert Channel Attack Using Memory Encryption Engine Cache,” Youngkwang Han, John Kim,  in the proceedings of the 56th Annual Design Automation Conference 2019 (DAC), Las Vegas, NV, Jun. 2019
  • [ISCA] “MGPUSim: Enabling Multi-GPU Performance Modeling and Optimization,” Yifan Sun, Trinayan Baruah, Saiful A. Mojumder, Shi Dong, Xiang Gong, Shane Treadway, Yuhui Bao, Spencer Hance, Carter McCardwell, Vincent Zhao, Harrison Barclay, Amir Kavyan Ziabari, Zhongliang Chen, Rafael Ubal, Jose L. Abellan, John Kim, Ajay Joshi, David Kaeli,  in the proceedings of the 46th Annual International Symposium on Computer Architecture (ISCA), Phoenix, AZ, Jun. 2019

2018

  • [MICRO] “Multi-dimensional Parallel Training of Winograd Layer on Memory-Centric Architecture,” Byungchul Hong, Yeonju Ro, John Kim,  in the proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), Fukuoka, Japan, Oct. 2018
  • [IISWC] “Profiling DNN Workloads on a Volta-based DGX-1 System,” Saiful A. Mojumder, Marcia S. Louis, Yifan Sun, Amir Kavyan Ziabari, Jose L. Abellan, John Kim, David Kaeli, Ajay Joshi,  in the proceedings of the 2018 IEEE International Symposium on Workload Characterization (IISWC), Raleigh, NC, Sep. 2018
  • [ISCA] “TCEP: Traffic Consolidation for Energy-Proportional High-Radix Networks,” Gwangsun Kim, Hayoung Choi, John Kim,  in the proceedings of the 45th Annual International Symposium on Computer Architecture (ISCA), Los Angeles, CA, Jun. 2018
  • [CHI] “BebeCODE: Collaborative Child Development Tracking System,” Seokwoo Song, Juho Kim, Bumsoo Kang, Wonjeong Park, John Kim,  in the proceedings of the 2018 CHI Conference on Human Factors in Computing Systems, Montreal, Canada Apr. 2018
  • [ISPASS] “SuperSim: Extensible Flit-Level Simulation of Large-Scale Interconnection Networks,” Nic McDonald, Adriana Flores, Al Davis, Mikhail Isaev, John Kim, Doug Gibson,  in the proceedings of the 2018 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS), Belfast, Northern Ireland, United Kingdom, Apr. 2018

2017

  • [ISCA] “Footprint: Regulating Routing Adaptiveness in Networks-on-Chip,” Binzhang Fu, John Kim,  in the proceedings of the 44th Annual International Symposium on Computer Architecture (ISCA), Toronto, ON, Canada, Jun. 2017
  • [CHI] “PlayBetter: A Phone-based Baby Play Support System for Childcare Bystander Parents,” Seokwoo Song, Juho Kim, John Kim,  in the proceedings of the 2017 CHI Conference Extended Abstracts on Human Factors in Computing Systems, Denver, CO, May 2017
  • [CHI] “Itchtector: A Wearable-based Mobile System for Managing Itching Conditions,” Jongin Lee, Daeki Cho, Junhong Kim, Eunji Im, JinYeong Bak, Kyung Ho Lee, Kwan Hong Lee, John Kim,  in the proceedings of the 2017 CHI Conference on Human Factors in Computing Systems, Denver, CO, May 2017
  • [ASPLOS] “History-Based Arbitration for Fairness in Processor-Interconnect of NUMA Servers,” Wonjun Song, Gwangsun Kim, Hyungjoon Jung, Jongwook Chung, Jung Ho Ahn, Jae W. Lee, John Kim,  in the proceedings of the 22nd International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Xi’an, China, Apr. 2017
  • [IEEE CAL] “Evaluation of Performance Unfairness in NUMA System Architecture,” Wonjun Song, Hyung-Joon Jung, Jung Ho Ahn, Jae W. Lee, John Kim,  in IEEE Computer Architecture Lectures, Jan. 2017

2016

  • [MICRO] Gwangsun Kim, Changhyun Kim, Jiyun Jeong, Mike Parker, John Kim “Contention-based Congestion Management in Large-Scale Networks,” in the proceedings of IEEE/ACM International Symposium on Microarchitecture (MICRO), Taipei, Taiwan Oct. 2016
  • [USENIX SECURITY] “PIkit: A New Kernel-Independent Processor-Interconnect Rootkit, ” Wonjun Song, Hyunwoo Choi, Junhong Kim, Eunsoo Kim, Yongdae Kim, John Kim,  in the proceedings of the 25th USENIX Conference on Security Symposium (USENIX Security) Austin, TX Aug. 2016
  • [UBICOMP] “TalkLIME: Mobile System Intervention to Improve Parent-Child Interaction for Children with Language Delay,” Seokwoo Song, Seugnho Kim, John Kim, Wonjeong Park, Dongsun Yim, ” in the proceedings of the ACM International Joint Conference on Pervasive and Ubiquitous Computing (UBICOMP), Sept 2016
  • [PACT] “Automatically Exploiting Implicit Pipeline Parallelism from Multiple Dependent Kernels for GPUs,” Gwangsun Kim, Jiyun Jeong, John Kim, Mark Stephenson,  in the proceedings of the international conference on Parallel Architectures and Compilation Techniques (PACT) Haifa, Israel, Sept. 2016
  • [PACT] “Accelerating Linked-list Traversal through Near-Data Processing,” Byungchul Hong, Gwangsun Kim, Jung Ho Ahn, Yongkee Kwon, Hongsik Kim, John Kim,  in the proceedings of the international conference on Parallel Architectures and Compilation Techniques (PACT) Haifa, Israel, Sept. 2016 [Best Paper Finalist]
  • [HPCA] “iPAWS : Instruction- Issue Pattern-based Adaptive Warp Scheduling for GPGPUs ,” Minseok Lee, Gwangsun Kim, John Kim, Woong Seo, Yeongon Cho, Soojung Ryu, in the proceedings of International Symposium on High-Performance Computer Architecture (HPCA), Barcelona, Spain, Mar. 2016
  • [TACO] “UMH: A Hardware-based Unified Memory Hierarchy for Systems with Multiple Discrete GPUs,” A. Ziabari, Y. Sun, Y. Ma, D. Schaa, J. Abellan, R. Ubal, J. Kim, A. Joshi and D. Kaeli,  to appear in ACM Transactions on Architecture and Code Optimization (TACO), 2016
  • [IEEE CAL]  “Evaluation of Performance Unfairness in NUMA System Architecture,” Wonjun Song, Hyung-Joon Jung,Jung Ho, Ahn, Jae Lee, Kim, John,  IEEE Computer Architecture Letters (CAL), Sept 2016

2015

  • [HPCA] “Overcoming Far-end Congestion in Large-Scale Networks.”, Jongmin Won, Gwangsun Kim, John Kim, Ted Jiang, Mike Parker, Steve Scott,   in the proceedings of International Symposium on High-Performance Computer Architecture (HPCA), San Francisco, CA,  February 2015

2014

2013

2012

2011

2010

2009

 

2008

2007

2006

  • [SC] “Adaptive Routing in High-Radix Clos Network,” John Kim, William Dally, Dennis Abts. Proceedings of the 2006 International Conference for High Performance Computing, Networking, Storage, and Analysis (SC’06), Tampa, FL November 2006. [Best student paper finalist]
  • [ISCA] “The BlackWidow High-Radix Clos Network,” Steve Scott, Dennis Abts, John Kim, William Dally. Proceedings of the 33rd International Symposium on Computer Architecture (ISCA-33), pp. 16–28,Boston, MA June 2006.

2005

Before 2005

  • “Synthesizing Register Files,” John Kim, Chip Laub. Intel Design and Test Technologies Conference (internal), 2H03, August 2003
  • Improving the Recursive Multiplier. John Kim, Earl Swartzlander.Proc. IEEE Asilomar Conf. on Signals, Systems, and Computers, October 2000 Pacific Grove, CA
  • “Through-Wafer Etching,” John Kim, Master of Engineering Technical Report, CornellUniversity, Ithaca, NY. May 1998

Scroll to Top